-- Packages Used ieee.STD_LOGIC_1164 ieee.STD_LOGIC_SIGNED ieee.NUMERIC_STD GTS.gts_pack -- Interface idle_tag : out std_logic_vector (15 downto 0); DoubleErr : out std_ulogic ; trg_tag : out std_logic_vector (15 downto 0); MultipleErr : out std_ulogic ; SingleErr : out std_ulogic ; RXDATA : in std_logic_vector (15 downto 0); RXCHARISK : in std_logic_vector (1 downto 0); trg_request : out std_logic ; lreset : in std_logic ; CheckOut : out std_logic_vector (0 to 7); backpressure : out std_logic ; idle : out std_logic ; rxclk : in std_logic ; -- Components component Hamming8 port ( DataOut : in std_logic_vector (0 to 7); CheckOut : out std_logic_vector (0 to 7); DataIn : in std_logic_vector (0 to 7); CheckIn : in std_logic_vector (0 to 7); DataCorr : out std_logic_vector (0 to 7); SingleErr : out std_ulogic; DoubleErr : out std_ulogic; MultipleErr : out std_ulogic); end component; component root_cmd_dec_ctrrl port ( trg_request : out std_logic; idle_tag : out std_logic_vector (15 downto 0); trg_tag : out std_logic_vector (15 downto 0); idle : out std_logic; backpressure : out std_logic; lreset : in std_logic; cmd : in std_logic_vector (0 to 7); rxclk : in std_logic; RXCHARISK : in std_logic_vector (1 downto 0)); end component; -- Local Signals signal g : std_logic_vector (0 to 7) ; signal cmd : std_logic_vector (0 to 7) ; signal DataIn : std_logic_vector (0 to 7) ; signal CheckIn : std_logic_vector (0 to 7) ;