Global Data


 

--        Packages Used

ieee.STD_LOGIC_1164
ieee.STD_LOGIC_SIGNED
ieee.NUMERIC_STD
 

--        Interface

backpressure : in std_logic ;
bclk : in std_logic ;
lreset : in std_logic ;
bp_start : out std_logic ;
bp_stop : out std_logic ;
bp_ack : in std_logic ;
 

--        Controls

Initial State: S0
-------------------
Machine:         Synchronous
Clock:           bclk
Clock Enable:    -
Clock Polarity:  Rising
Reset:           lreset
Reset Mode:      Asynchronous
Reset State:     S0
Reset Polarity:  High
State Transition Process:   Synchronous
State Selection:  Case
Transition Selection:  If
     
 

--        Current State


Current Signal Name: visual_S0_current
Next Signal Name: visual_S0_next
Type: enumeration
Hierarchy Mode: Flat
Encoding: User Defined
S0          =>   
S1          =>   
S2          =>   
S3          =>   
 

--        States and Transitions

 
Initial State: S0
-------------------
 
State: S0
Enter Action:
  bp_stop<='0';
  bp_start<='0';
Transitions of state S0:
T1 to S1
  Guard:
    backpressure='1'
T0 to S0
 
State: S1
Enter Action:
  bp_start<='1';
Transitions of state S1:
T3 to S3
  Guard:
    bp_ack='1'
T2 to S1
 
State: S2
Enter Action:
  bp_stop<='1';
Transitions of state S2:
T7 to S0
  Guard:
    bp_ack='1'
T6 to S2
 
State: S3
Enter Action:
  bp_start<='0';
Transitions of state S3:
T5 to S2
  Guard:
    backpressure='0'
T4 to S3